西西软件园多重安全检测下载网站、值得信赖的软件下载站!
西西首页 常用软件 软件下载 安卓软件 游戏下载 安卓游戏 MAC应用 驱动下载 安卓电视
系统工具网络工具媒体工具图形图像聊天工具应用软件编程开发手机软件安卓应用电脑安全字体素材

Modelsim 6.5e Linux 破解工具

  • Modelsim 6.5e Linux 破解工具
  • 软件大小:844KB
  • 更新时间:2013-09-21 12:07
  • 软件语言:中文
  • 软件厂商:
  • 软件类别:国产软件 / 免费软件 / 行业软件
  • 软件等级:4级
  • 应用平台:WinXP
  • 官方网站:暂无
好评:50%
坏评:50%

软件介绍

ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。

UNIX/Linux:
• install.<platform>
• <product>-base.mis
• <product>-docs.mis
• <product>-gcc-<platform>.mis
• <product>-<platform>.mis

则需要下载

install.linux

modelsim-base.mis

modelsim-docs.mis

modelsim-gcc-linux.mis

modelsim-linux.mis

安装:

下载完成后,直接运行    ./install.linux

如果权限不够,添加权限  chmod a+x install.linux

由于是图形界面,很easy。

破解:

1.将ModelSim6_5_KeyGen.rar解压到你因为合适的目录下。
2.安装wine:aptitude install wine
3.用wine运行MentorKG.exe:wine MentorKG,运行完会生成LICENSE.TXT文件,将其改名为license.dat并复制到/opt/modelsim/modeltech/目录下(我的安装目录,你的根据情况来)。
4.更改环境变量,在中断下输入:sudo gedit ~/.bashrc,打开.bashrc文件,在文件尾部添加如下两行
export LM_LICENSE_FIEL=/opt/modelsim/modeltech/license.dat
export PATH=$PATH:/opt/modelsim/modeltech/linux
保存后退出。
5.在中断中敲入:source ~/.bashrc,使环境变量生效(可用echo命令来查看是否生效,比如:echo $LM_LICENSE_FIEL,看看会出现什么)
6.在终端中敲入:vsim。看是否出现了久违的modelsim图形化界面。

其他

经过以上步骤可以在root用户下运行modelsim,但是在普通用户下还是没法运行modelsim,以我为例,我的普通用户的账号是clp。
1.刚才一直在用root权限操作,现在切换到普通用户下:su clp
2.同样修改.bashrc文件:gedit ~/.bashrc,在.bashrc文件末尾添加如下两行:
export LM_LICENSE_FIEL=/opt/modelsim/modeltech/license.dat
export PATH=$PATH:/opt/modelsim/modeltech/linux
3.中断输入:source ~/.bashrc
4.最后在中断输入:vsim。是否也出现modelsim图形化界面了呢?

运行:

vsim

如果不能创建文件,考虑权限问题

不过破解程序是要在windows下跑。建议把windows的mac地址改为linux的mac地址,运行破解程序,得到的license在linux也可用

软件截图

Modelsim 6.5e Linux 破解工具

    其他版本下载

    热门评论

    最新评论

    发表评论 查看所有评论(0)

    昵称:
    表情: 高兴 可 汗 我不要 害羞 好 下下下 送花 屎 亲亲
    字数: 0/500 (您的评论需要经过审核才能显示)

    下载帮助下载帮助西西破解版软件均来自互联网, 如有侵犯您的版权, 请与我们联系。

    TOP
    软件下载